New-age: A negative bias temperature instability-estimation framework for microarchitectural components

Michael Debole, Ramakrishnan Krishnan, Varsha Balakrishnan, Wenping Wang, Hong Luo, Yu Wang, Yuan Xie, Yu Cao, N. Vijaykrishnan

Research output: Contribution to journalArticlepeer-review

13 Scopus citations

Abstract

Degradation of device parameters over the lifetime of a system is emerging as a significant threat to system reliability. Among the aging mechanisms, wearout resulting from Negative Bias Temperature Instability (NBTI) is of particular concern in deep submicron technology generations. While there has been significant effort at the device and circuit level to model and characterize the impact of NBTI, the analysis of NBTI's impact at the architectural level is still at its infancy. To facilitate architectural level aging analysis, a tool capable of evaluating NBTI vulnerabilities early in the design cycle has been developed that evaluates timing degradation due to NBTI. The tool includes workload-based temperature and performance degradation analysis across a variety of technologies and operating conditions, revealing a complex interplay between factors influencing NBTI timing degradation.

Original languageEnglish (US)
Pages (from-to)417-431
Number of pages15
JournalInternational Journal of Parallel Programming
Volume37
Issue number4
DOIs
StatePublished - Aug 2009

Keywords

  • Microprocessor reliability
  • NBTI framework
  • Negative bias temperature instability (NBTI)
  • Reliable systems

ASJC Scopus subject areas

  • Software
  • Theoretical Computer Science
  • Information Systems

Fingerprint

Dive into the research topics of 'New-age: A negative bias temperature instability-estimation framework for microarchitectural components'. Together they form a unique fingerprint.

Cite this