Bypass aware instruction scheduling for register file power reduction

Sanghyun Park, Aviral Shrivastava, Nikil Dutt, Alex Nicolau, Yunheung Paek, Eugene Earlie

Research output: Chapter in Book/Report/Conference proceedingConference contribution

16 Scopus citations

Abstract

Since register files suffer from some of the highest power densities within processors, designers have investigated several architectural strategies for register file power reduction, including "On Demand RF Read" where the register file is read only if the operand value is not available from the bypasses. However, we show in this paper that significant additional reductions in the register file power consumption can be obtained by scheduling instructions so that they transfer the operands via bypasses, rather than reading from the register file. Such instruction scheduling requires the compiler to be cognizant of the bypasses in the processor pipeline. In this paper, we develop several bypass aware instruction scheduling heuristics varying in time complexity, and study their effectiveness on the Intel XScale processor pipeline running MiBench benchmarks. Our experimental results show additional power consumption reductions of up to 26% and on average 12% over and above the register file power reduction achieved through existing techniques.

Original languageEnglish (US)
Title of host publicationLCTES 2006 - Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems
PublisherAssociation for Computing Machinery
Pages173-181
Number of pages9
ISBN (Print)159593362X, 9781595933621
DOIs
StatePublished - 2006
Externally publishedYes
EventLCTES 2006 - 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems - Ottawa, ON, Canada
Duration: Jun 14 2006Jun 16 2006

Publication series

NameProceedings of the ACM SIGPLAN Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES)
Volume2006

Conference

ConferenceLCTES 2006 - 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems
Country/TerritoryCanada
CityOttawa, ON
Period6/14/066/16/06

Keywords

  • Architecture-sensitive Compiler
  • Bypass-sensitive
  • Forwarding Paths
  • Operation Table
  • Power Consumption
  • Processor Bypasses
  • Register File
  • Reservation Table

ASJC Scopus subject areas

  • Software

Fingerprint

Dive into the research topics of 'Bypass aware instruction scheduling for register file power reduction'. Together they form a unique fingerprint.

Cite this